blob: d29ff137ef45d4e15df025b290979cdb9185cf33 [file] [log] [blame]
/* SPDX-License-Identifier: GPL-2.0 */
/*
* (C) Copyright 2012 Stephen Warren
*
* See file CREDITS for list of people who contributed to this
* project.
*/
.globl lowlevel_init
lowlevel_init:
mov pc, lr