blob: 95ccb302b5ab75e95a1e664095604b818e916df0 [file] [log] [blame]
Array3d v(1, 2, 3), w(3, 2, 1);
cout << (v < w) << endl;