blob: b6dcdfcf93ae975f1593a77a3281d5afa2a7535d [file] [log] [blame]
Array3d v(1, 2, 4);
cout << v.cbrt() << endl;